您现在的位置是:New MIT method uses 2D materials to "catch up" with Moore's Law >>正文

New MIT method uses 2D materials to "catch up" with Moore's Law

上海品茶网 - 夜上海最新论坛社区 - 上海千花论坛84316人已围观

简介By subscribing, you agree to our Terms of Use and Policies You may unsubscribe at any time.Moore'...

By subscribing, you agree to our Terms of Use and Policies You may unsubscribe at any time.

Moore's Law predicted that the number of transistors on a microchip would double every year after 1960, though that rate would eventually hit a wall due to the fact silicone loses electrical properties past a certain size.

One possible solution comes in the form of 2D materials, also known as single-layer materials. These incredibly delicate two-dimensional sheets of perfect crystals are only a single atom thin. Crucially, at the nanometer scale, they can conduct electrons far more efficiently than silicon.

Now, a team of MIT engineers believes it has cracked a way to engineer the materials on industry-standard silicon wafers, as per a press release. By doing so, they may have overcome the key obstacle described by Moore's Law.

See Also

2D materials could allow manufacturers to "catch up" with Moore's Law

The team of MIT researchers developed a method that could one day allow manufacturers to develop increasingly small transistors — beyond the limits of Moore's Law — using 2D materials.

In a test, the team used the new method, called "nonepitaxial, single-crystalline growth", to grow 2D materials onto industrial silicon wafers. They reported that the resulting 2D materials were defect-free.

"We expect our technology could enable the development of 2D semiconductor-based, high-performance, next-generation electronic devices," explained Jeehwan Kim, associate professor of mechanical engineering at MIT. "We've unlocked a way to catch up to Moore’s Law using 2D materials."

Specifically, the team developed a functional transistor from a 2D material called transition-metal dichalcogenides, or TMDs. Research has shown that these conduct electricity better than silicon on the nanometer scale. Kim and his colleagues outlined their method in a new paper in Nature.

A novel method for manufacturing next-gen transistors

Scientists have previously attempted to develop transistors on silicon wafers using 2D materials. The problem is that these silicon wafers lack a supporting scaffold of other materials that would be utilized with 2D materials at such a small scale. So attempts to grow 2D materials on silicon have typically yielded a messy patchwork of crystals. These form grain boundaries that greatly reduce conductivity.

"It’s considered almost impossible to grow single-crystalline 2D materials on silicon," Kim said. "Now we show you can. And our trick is to prevent the formation of grain boundaries."

New MIT method uses 2D materials to "catch up" with Moore's Law
An illustration of the MIT team's "masking" method.

Image courtesy of Jeehwan Kim, Ki Seok Kim, et. al 

The new "nonepitaxial, single-crystalline growth" method uses conventional vapor deposition methods to pump atoms across a silicon wafer. These atoms eventually settle and nucleate, allowing them to grow into two-dimensional crystal orientations. If left alone, these "nuclei" would grow in random directions across the wafer.

The key to the MIT team's method, however, is that they found a way to direct the nuclei, or growing crystals, to create single crystalline regions across the wafer. They used a "masking" method where they coated the silicon wafer with a pattern of tiny pockets of silicon dioxide. Each of these pockets was designed to capture the nuclei, or crystal seeds, to form a 2D material.

In their tests, the researchers showed that they could use this method to develop a simple TMD transistor with an equal electrical performance as a pure flake of the same material used.

"Until now, there has been no way of making 2D materials in a single-crystalline form on silicon wafers, thus the whole community has almost given up on pursuing 2D materials for next-generation processors," Kim explained. "Now we have completely solved this problem, with a way to make devices smaller than a few nanometers. This will change the paradigm of Moore's Law."

Tags:

相关文章



友情链接